Вопросы по теме 'vivado-hls'

Могу ли я скомпилировать код C, который я пишу в Vivado HLS, с помощью компилятора gcc?
Я разрабатывал дизайн на основе C для кого-то, кто использует Vivado HLS 2015.1. В этом дизайне используются типы данных произвольной точности, включенные в "ap_cint.h". Я хотел знать, могу ли я скомпилировать этот код в стандартном компиляторе...
2603 просмотров
schedule 10.08.2022

Возможна ли функция возврата массива в Vivado HLS?
Такая функция: int * getRandom( ) { static int r[10]; int i; /* set the seed */ srand( (unsigned)time( NULL ) ); for ( i = 0; i < 10; ++i) { r[i] = rand(); printf( "r[%d] = %d\n", i, r[i]); } return r; }...
1935 просмотров
schedule 04.09.2023

Предупреждения о синтезе C++ HLS
Когда я пытаюсь выполнить синтез с помощью Vivado HLS, я получаю следующие ошибки для той же строки: ВАЖНОЕ ПРЕДУПРЕЖДЕНИЕ: [SYNCHK 200-43 ] pcd_triangulation/pcd_triangulation.cpp:156: использование или назначение нестатического указателя...
1203 просмотров

Потоковые интерфейсы AXI в системном генераторе Xilinx IP
У меня есть пример дизайна в системном генераторе для обработки изображений, который имеет одно входное изображение и одно выходное изображение. Я хотел бы отправлять данные через потоковый интерфейс AXI и экспортировать их как IP-ядро в...
3997 просмотров
schedule 10.12.2022

Преобразование типа vec в скалярный тип на opencv
Я работаю над алгоритмом обработки изображений для обнаружения маркеров. Код использует библиотеку opencv и отлично работает. Однако меня попросили поместить его в HDL с помощью HLS для оптимизации дизайна. Дело в том, что HLS не позволяет...
730 просмотров
schedule 15.06.2023

Ошибки csim Vivado HLS 2017.3 в Ubuntu 17.10
Vivado HLS не удалось скомпилировать код тестового стенда c на kubuntu 17.10, этот же проект прекрасно компилируется под Windows 10. На Kubuntu и Windows решения синтезируются, на ubuntu не работает только сим. из файла журнала я думаю, что vivado...
1693 просмотров
schedule 09.12.2022

Как использовать функцию sin с фиксированной точкой в ​​Vivado HLS
Я вычисляю точку пересечения двух линий, заданных в полярной системе координат: typedef ap_fixed<16,3,AP_RND> t_lines_angle; typedef ap_fixed<16,14,AP_RND> t_lines_rho; bool get_intersection( hls::Polar_< t_lines_angle,...
2178 просмотров
schedule 20.02.2023

VIVADO HLS: Как объявить общую ширину переменной в типах произвольной точности?
Я работаю с XILINX VIVADO HLS, в котором мне нужно запускать коды C/C++ с «типами произвольной точности». Итак, я просмотрел темы «Типы произвольной точности» в руководстве UG902 Xilinx. Но я не совсем понял эту тему. Я не знаю, как инициализировать...
268 просмотров
schedule 30.05.2022

Действительно ли Mat в hls:Mat представляет собой матрицу?
Я работаю над Vivado HLS. Я читаю изображение через поток и сохраняю его в hls:mat . Я хочу выполнить поэлементную операцию над этим mat . Действительно ли мат представляет собой матрицу? Есть ли способ, которым я могу получить к нему доступ,...
984 просмотров
schedule 15.02.2023

файл pcap.h в Xilinx Vivado HLS
Я использовал заголовочный файл pcap.h для разбора файла pcap в Linux. Программа корректно компилирует и анализирует пакеты. Однако я хочу поместить ту же логику на FPGA, для чего я использую инструмент Xilinx Vivado HLS. У меня возникли проблемы...
234 просмотров
schedule 19.12.2022

передача данных с PL(fpga) на ПК через ethernet
Работаю с Zedboard и vivado v2017.3. У меня есть собственный IP-адрес в части PL zynq, генерирующий 32-битные значения и сохраняющий их в одном из регистров, скажем, slv_reg0 (адрес: 0x43c00000). В части PS я читаю этот регистр и могу распечатать...
444 просмотров
schedule 28.01.2023

файлы .vcd и .saif
Я использую vivado 2017.1, и моя цель — вычислить энергопотребление КИХ-фильтра. Я кодирую в Verilog в xilinx ISE и импортирую эти файлы в vivado. Я сгенерировал файлы .vcd и .saif, используя эти команды в testbench. initial begin...
400 просмотров
schedule 12.05.2023

Почему направление цикла моего фильтра меняет мой результат?
Я разработал простой двухканальный фильтр для удаления шума на заданной частоте. #include "../include/Filter.h" void Filter(int DataIn, int* DataOut, bool Enable) { static coef_t Coefficients[] = { 0.0076925293, -0.039817952,...
28 просмотров
schedule 06.10.2022

совместное моделирование с использованием VIVADO HLS
Генератор системы Xilinx можно использовать для совместного моделирования между исходной эталонной моделью MATLAB и фактической платой HW. Можем ли мы следовать аналогичной процедуре для совместного моделирования между исходной эталонной моделью C++...
83 просмотров
schedule 28.12.2023

Вызов функции C с типом C (фиксированная точка) из Python
Я пытаюсь вызвать функцию C из Python, которая принимает тип Vivado ap_fixed. Я могу передать число с плавающей запятой в качестве параметра, но это означает, что мне придется приводить типы к плавающим и обратно к фиксированным при каждом вызове,...
48 просмотров
schedule 30.11.2022

Что не так со следующим кодом в vivado hls?
Следующий код должен считывать значение из DDR, уменьшать его, записывать результат обратно по тому же адресу и считывать следующее значение, повторяя 256 раз. Вместо этого при первом запуске он уменьшает первые 2 значения (axi_ddr[0] и [1]), а при...
52 просмотров
schedule 21.07.2022

Как передать часть потока Xilinx HLS другой функции?
У меня есть две переменные: void func1(hls::stream<ap_axiu<8,1,1,1>> &a); void func2(hls::stream<ap_uint<8>> &b); Где ap_axiu определяется как: template<int D,int U,int TI,int TD> struct ap_axiu{...
164 просмотров
schedule 19.06.2023