Вопросы по теме 'verilator'

Отправить SystemVerilog $ display в stderr
Я использую Verilator для включения алгоритма, написанного в SystemVerilog, в исполняемую утилиту, которая управляет потоками ввода-вывода. прошло через stdin и stdout . К сожалению, когда я использую функцию SystemVerilog $display() , вывод...
1390 просмотров

Жгут динамических испытаний в долоте 3
Мы реализуем процессор в Chisel, и у нас есть много очень сложных тестовых примеров. Для элементов, которые имеют много состояний, мы хотим генерировать длинные последовательности тестовых векторов на лету, а также вычислять правильный ответ на лету....
206 просмотров
schedule 02.05.2023

Как исправить ошибки chisel test с Verilator (должен работать с Verilator *** FAILED ***)?
Я запускаю chisel test и хочу генерировать выходные данные с помощью верилятора. Я не смог точно найти причину ошибки, но думаю, что это связано с верилятором. Это часть кода, выполняющая тест. Он должен распечатать некоторые выходные данные и...
172 просмотров
schedule 04.11.2022

ошибка chisel printf (построена с использованием chisel3, а затем верификатора на C ++)
Это немного измененная версия примера HelloWorld.scala из https://github.com/freechipsproject/chisel3/wiki/Frequent-Asked-Questions // say hello package HelloWorld import...
103 просмотров
schedule 01.07.2022