Вопросы по теме 'synopsys-vcs'

Предупреждение Synopsys VCS для `define redefined
Возможно ли генерировать предупреждение или ошибку в компиляторе Synopsys VCS, если макрос `define переопределен? `define DATWIDTH_SZ `DAT_SZ `define DATWIDTH_SZ 512
3469 просмотров
schedule 04.05.2023

synopsys dc_shell get_attribute количество цифр
Чтобы выполнить некоторый алгоритм оптимизации, мне нужна хорошая степень точности при получении атрибутов из tcl dc_shell (версия Synopsys D-2010.03-SP3). Например get_attribute CORE65LPLVT/HS65_LL_IVX9 cell_leakage_power возвращает мне...
1394 просмотров
schedule 06.05.2023

Двойное двоеточие :: в Tcl
Что делает двойной :: в сценарии Tcl некоторых инструментов EDA (например, Cadence Enounter)? report::TimeStamp PrePlace "START PrePlace"
2995 просмотров
schedule 05.08.2022

сделать список в коллекцию в TCL
Я хочу создать коллекцию из списка. friends1 — это список имен. Я пытаюсь сделать: set friends2 "" foreach frnd $friends1 { append_to_collection friends2 $frnd } Ошибка: требуется по крайней мере одна...
3735 просмотров
schedule 24.06.2022

как получить оценку мощности с помощью xpower
Я работаю над классным проектом, используя Verilog. Мне пришлось создать схему, а затем рассчитать мощность, которую использует схема. Я пытался сделать это с помощью Xpower Analyzer. Я следую инструкциям по созданию файла vcd, компилирую и...
194 просмотров
schedule 15.11.2022

Можно ли полностью скомпилировать модуль, а затем отдельно создать его экземпляр на тестовом стенде?
Можно ли сделать полностью скомпилированную и автономную версию модуля RTL, например снимок в терминах Cadence, а затем создать экземпляр этого скомпилированного модуля в тестовом стенде? В конечном счете, выполняется еще один шаг компиляции для...
216 просмотров

Как я могу использовать Synopsys VCS для динамического масштабирования напряжения в микропроцессоре?
Я пытаюсь смоделировать процессор на основе RISCV на Synopsys VCS с дизайном RTL (verilog). Я хотел бы динамически изменять напряжение во время моделирования. Есть ли в Synopsys какой-либо инструмент, который можно использовать для выполнения...
74 просмотров
schedule 18.06.2022

Подключите разную ширину порта
Предположим, у моего модуля 8-битный вход и 8-битный выход. module MyModule (input logic [7:0] in, output logic [7:0] out); ... endmodule : MyModule Если я хочу подключить 1-битный вход и оставить остальные биты равными нулю, работает...
150 просмотров
schedule 19.04.2022