Вопросы по теме 'icarus'

Простой модуль Verilog VPI для открытия аудиофайлов
Я хотел бы написать интерфейс VPI/PLI, который будет открывать аудиофайлы (например, wav, aiff и т. д.) и представлять данные в симулятор Verilog. В настоящее время я использую Icarus и хочу использовать libsndfile для обработки форматов входных...
2974 просмотров

Тестовый стенд Verilog для fifo
Может ли кто-нибудь помочь мне написать код тестового стенда Verilog для следующего кода! Я пробовал, но это не работает!. это код для fifo (первым пришел, первым вышел) с одними часами. я использую симулятор икарус фифо4: `timescale...
5033 просмотров
schedule 15.03.2024

Всегда против навсегда в Verilog HDL
В чем разница между ключевым словом always ( не блок always @ ) и ключевым словом forever в Verilog HDL? always #1 a=!a; forever #1 a=!a; Вот мои выводы, но я все еще не могу провести грань между ними: Из Википедии :...
10148 просмотров
schedule 27.10.2022

4-битный реверсивный счетчик Verilog, разработанный с использованием Т-триггеров, запускаемых отрицательным фронтом.
Я очень новичок в Verilog HDL, и мне нужно закодировать этот 4-битный счетчик вверх-вниз. С помощью некоторого чтения счетчиков вверх-вниз и t-триггеров я уже сделал следующий код: module up_down_4bitcounter ( out, up_down, clk, data, reset );...
2512 просмотров
schedule 23.12.2022

Сбой Icarus Verilog при компиляции модуля динамической памяти
Это мой первый пост на StackOverflow. Я новичок в Verilog, хотя у меня есть значительный опыт работы с Python, C и C++. Я использую Icarus Verilog версии 10.1.1 в Windows 10 и пытаюсь написать распределитель динамической памяти. По какой-то...
195 просмотров
schedule 24.08.2022

Непонятно для петли Icarus Verilog
Я пытаюсь следовать приведенному здесь базовому примеру. https://www.youtube.com/watch?v=13CzlujAayc&list=PLUtfVcb-iqn8ff92DJ0SZqwsX4W1s_oab&index=17 Вот мой точный код maj3.v module maj3(Out, A, B, C); input A, B, C; output Out;...
1223 просмотров
schedule 24.12.2021

Ошибка утверждения утверждения Ошибка при попытке скомпилировать файлы SV с помощью Icarus
Я попытался скомпилировать модуль дизайна mux.sv с его тестовой программой, используя Icarus, но получаю следующую ошибку vvp: array.cc:906: void compile_var2_array(char*, char*, int, int, int, int, bool): Assertion `0' failed. Aborted (core dumped)...
233 просмотров
schedule 10.12.2022