Вопросы по теме 'function-coverage'

SV: Как создать функциональное покрытие для переходов, не беспокоясь о тактовых циклах?
Обычно я просто использую метод повторения в течение длительного времени для определенного перехода. ie. covergroup test1 @(posedge clk) coverpoint( signal[1], signal[0]) { bins transition1 = (2'b00[*1:100] =>2'b11[*1:100] =>...
1736 просмотров