Вопросы по теме 'edaplayground'

DFF в Verilog с задержкой
Я пытаюсь реализовать проект nand2tetris в Verilog, и я врезаюсь в стену, используя icarus verilog . В книге они реализуют DFF так, q(t) = d(t-1) . Выход в текущий момент - это вход в предыдущем posedge clk . Вот это DFF , которое я понял....
1823 просмотров

мой код vhdl для реализации некоторых fsm работает неправильно
library IEEE; use IEEE.std_logic_1164.all; entity INCUBATOR2 is port(temperature: in std_logic_vector(7 downto 0); CLK,RESET: in std_logic; on_cooler,on_heater: out std_logic; CRS:out std_logic_vector(3 downto 0)); end entity...
379 просмотров
schedule 23.01.2023