Вопросы по теме 'chisel'

Компилятор Chisel очень медленный
Я работаю над матричным суммированием. Компилятору требуется 4+ часа, чтобы сгенерировать 1+ миллион строк кода. Каждая строка "назначить..." Я не знаю, то ли это неэффективность компилятора, то ли мой стиль кодирования плохой. Если бы кто-то мог...
476 просмотров
schedule 02.10.2022

Было бы неплохо иметь Vec [Mem] в Chisel
Было бы неплохо Vec [Mem] для, скажем, наборно-ассоциативных кешей. К сожалению, Chisel не поддерживает конструкцию Vec [Mem]: val tag_ram2 = Vec.fill(num_ways) {Mem(new TagType(), num_sets , seqRead = true )} Верно: inferred type...
784 просмотров
schedule 22.11.2022

оберните код Verilog в долото
Можно ли обернуть некоторый код verilog в код chisel/scala? если да, то как я могу это сделать? Мне нужно использовать какой-нибудь модуль Verilog в chisel. благодарю вас Франческо
764 просмотров
schedule 22.06.2022

Правильно ли поведение регистра сдвига стандартной библиотеки chisel для линии включения
Я пытаюсь создать путь к данным, который включает в себя регистр сдвига, но я хочу, чтобы все это можно было остановить во время ожидания нового ввода. Я видел, что в стандартной библиотеке есть сдвиговый регистр со строкой включения (хотя по...
525 просмотров
schedule 16.06.2023

Как сгенерировать асинхронный сброс Verilog всегда блокирует долотом
Chisel всегда генерирует блоки только с часами в списке чувствительности: always @posedge(clk) begin [...] end Можно ли настроить модуль для использования асинхронного сброса и создания всегда такого блока? always @(posedge clk or...
1353 просмотров
schedule 22.07.2023

Chisel: доступ к параметрам модуля из тестера
Как получить доступ к параметрам, используемым для создания модуля, из тестера, который его тестирует? В приведенном ниже тесте я явно передаю параметры как модулю, так и тестеру. Я бы предпочел не передавать их тестеру, а вместо этого извлекать...
275 просмотров
schedule 29.03.2022

Поддерживает ли ChiselHDL что-то вроде #ifdef (макрос)?
Я погуглил, что Scala использует "@elidable" как своего рода макрос в C++. Поддерживает ли ChiselHDL что-то подобное для отладки? Или какие-то другие альтернативы? в контексте scala, @elidable(WARNING) def debug(signal: Wire) = when(signal){...
251 просмотров
schedule 15.05.2023

Какой адрес сброса у чипа Rocket?
По какому адресу запускается процессор RV64G при перезагрузке? В какой файл scala я должен заглянуть, чтобы понять / изменить адрес вектора сброса? Я попытался добавить простой оператор printf для TopIO в класс Top для мониторинга MemIO и...
1294 просмотров
schedule 26.12.2022

Как удалить ненужные регистры, созданные бэкэндом Chisel verilog?
Когда я синтезирую модуль verilog, сгенерированный Chisel, я получаю такие предупреждения (много!): Warning (10036): Verilog HDL or VHDL warning at Polynomial.v(26): object "T98" assigned a value but never read Есть ли возможность удалить...
128 просмотров
schedule 26.09.2022

Запуск dummy_rocc_test на плате zed
Тест dummy_rocc_test отлично работает на шипе с инструкцией custom0, но когда я помещаю его на плату zed и запускаю с ./fesvr-zynq pk dummy_rocc_test , он выдает ошибку о недопустимой инструкции. Я понимаю, что это связано с тем, что rocc io не...
319 просмотров
schedule 20.11.2023

Арифметика с фиксированной точкой в ​​Chisel HDL
Существуют ли в Chisel HDL библиотеки с фиксированной точкой, которые можно было бы использовать для выполнения основных арифметических операций, таких как сложение, вычитание, умножение и деление?
504 просмотров
schedule 07.12.2022

Создание таблицы поиска в CHISEL
Я пытаюсь создать таблицу поиска в Chisel шириной 72 бита и 1024 записями. Эти 1024 записи хранятся отдельно в файле, который я вставляю в свой код. Код, который я написал до сих пор: import Chisel._ import scala.io.Source._ module mdlNm {...
369 просмотров
schedule 02.10.2022

Я пытаюсь создать код с помощью более старой версии chisel
Я пытаюсь создать код с помощью более старой версии chisel. Пока мне удалось вытащить старую версию (2.3) исходного кода и превратить ее в файл .jar, который я поместил в исходный каталог и каталог .ivy / cache, и отредактировал файл "build.sbt",...
45 просмотров
schedule 29.05.2022

Как собрать ядро ​​Zscale? (РИСК-В, ракета-чип)
Я имею в виду следующую ссылку: https://github.com/ucb-bar/zscale/issues/1 , что означает, что zscale может быть построен из генератора ракетных чипов только с другим вариантом сборки. make CONFIG=ZscaleConfig MODEL=ZscaleTop verilog" instead....
1422 просмотров
schedule 14.02.2023

Настройка количества ядер внутри тайла в Rocketchip
Я использую RISC-V. Я хочу настроить количество ядер в тайле. Какой файл долота мне изменить?
253 просмотров
schedule 13.07.2022

О синтаксисе Chisel
Я новичок в этом. У меня есть вопросы по коду. Чем отличаются эти коды: val myVec = Vec (5) {Fix (width = 23)} и val myVec = Vec.fill (5) {SInt (ширина = 23)} что значит "заполнить"? Благодарность
211 просмотров
schedule 29.07.2022

Ошибка после запуска sbt test в Chisel 3
Пытаюсь использовать долото 3. Я попытался протестировать файл GCD.scala в репозитории шаблона проекта chisel, используя команды sbt test и sbt «test-only example.GCD» после ответа на предыдущий вопрос. Но это дает ошибку (ы), причину которых я не...
1065 просмотров
schedule 24.07.2022

Многократное переназначение переменной в течение тактового цикла — Chisel
Я хотел бы переназначить переменную hit_bits несколько раз в течение одного тактового цикла. hit_bits будет увеличиваться всякий раз, когда io.bits_perf.bits(i) истинно. Я получаю "НАЙДЕН КОМБИНАЦИОННЫЙ ПУТЬ!" когда я пытаюсь скомпилировать...
211 просмотров
schedule 10.11.2023

Назначение долота 3 диапазону бит
Казалось, что это работает в Chisel 2, но сейчас не работает: class TestX extends Module { val io = IO(new Bundle { val a = Output(UInt(width=2)) }) io.a(1, 0) := UInt(0) } Ошибка: [модуль TestX] Выражение T_4...
1222 просмотров
schedule 26.07.2022

Жгут динамических испытаний в долоте 3
Мы реализуем процессор в Chisel, и у нас есть много очень сложных тестовых примеров. Для элементов, которые имеют много состояний, мы хотим генерировать длинные последовательности тестовых векторов на лету, а также вычислять правильный ответ на лету....
206 просмотров
schedule 02.05.2023