Я пишу испытательный стенд, в котором я хочу, чтобы сигналы повышались и понижались по определенной схеме (что-то вроде этого): В настоящее время я вручную набираю то, что хочу каждый время быть таким:
module TestExample;
reg a, b, c;
initial begin
$dumpfile("test.vcd");
$dumpvars(0, TestExample);
# 0 a=0; b=0; c=0;
# 10 a=1; b=0; c=0;
# 20 a=0; b=1; c=0;
# 30 a=1; b=1; c=0;
# 40 a=0; b=0; c=1;
# 50 a=1; b=0; c=1;
# 60 a=0; b=1; c=1;
# 70 a=1; b=1; c=1;
# 80 a=0; b=0; c=0;
# 90 $stop;
end
endmodule
Проблема с этим заключается в том, что когда я получаю больше сигналов (скажем, az вместо ab), потребуется очень много времени, чтобы вручную вводить каждый раз и связанное значение. Из-за этого мне интересно, есть ли способ автоматизировать сигналы. Например, если бы я мог сказать переключать свое состояние каждые 10 u-секунд для a, каждые 20 u-секунд для b и каждые 30 u-секунд для c?