Код Verilog для сдвига и добавления множителя

Доброго времени суток, ребята, я создал множитель Shift - And - Add. Я не понимаю, почему мой результат неправильный и всегда на уровне 85. Это что-то с тестовым стендом? Кстати, работает.

new1.v

`define M ACC[0]
module mult4X4 (Clk, St, Mplier, Mcand, Done, Result);

input Clk,St;
input [3:0] Mplier, Mcand;
output Done;
output [7:0] Result;

reg [3:0] State;
reg [8:0] ACC;

initial
begin
    State = 0;
    ACC = 0;
end

always @(posedge Clk)
begin
    case (State)
        0:
            begin
                if(St == 1'b1)
                begin
                    ACC[8:4] <= 5'b00000 ;
                    ACC[3:0] <= Mplier ;
                    State <= 1;
                end
            end
        1,3,5,7 :
            begin
                if(`M==1'b1)
                begin
                    ACC[8:4] <= {1'b0, ACC[7:4]} + Mcand ;
                    State <= State +1;
                end
                else
                begin
                    ACC <= {1'b0, ACC[8:1]};
                    State <= State + 2;
                end
            end
        2,4,6,8 :
            begin
                ACC <= {1'b0, ACC[8:1]};
                State <= State +1;
            end
        9:
            begin
                State <= 0;
            end
        endcase
    end

    assign Done = (State == 9) ? 1'b1 : 1'b0 ;
    assign Result = (State == 9) ? ACC[7:0] : 8'b01010101;
endmodule

tb_new1.v

module tb_mult4X4;
    reg Clk,St,nReset;
    reg [3:0] Mplier;
    reg [3:0] Mcand;
    wire Done;
    wire [7:0] Result;

    mult4X4 UUT (Clk,St,Mplier,Mcand,Done,Result);

    initial begin
        $dumpfile ("mult4X4.vpd");
        $dumpvars;
    end
    initial
        Clk = 0;

    always
        #5 Clk =~Clk;
    initial begin

        nReset = 0;
        St = 0; 
        Mcand = 4'b1101;
        Mplier = 4'b1011;

        #10
        nReset = 1;
        St = 1;
        Mcand = 4'b1111;
        Mplier = 4'b1001;

        #10

        Mcand = 4'b0101;
        Mplier = 4'b1010;

        #10

        Mcand = 4'b1111;
        Mplier = 4'b1111;

        #10
        Mcand = 4'b1101;
        Mplier = 4'b1010;

        $finish;
    end
endmodule

Я запускал код столько раз, и все, кажется, следует за моим автоматом. Кто-нибудь может указать, где пошло не так. Действительно запутался в этом


person will    schedule 02.10.2016    source источник


Ответы (1)


#10 - это путь к сокращению. Ваш RTL требует 10 тактов для завершения, но вы меняете ввод каждые часы (половина такта равна #5). Используйте #100 или еще лучше @(posedge Done); (что заставляет испытательный стенд ждать завершения, независимо от количества требуемых часов).

person Greg    schedule 02.10.2016