Как просмотреть содержимое мегафункциональной оперативной памяти от Quartus после симуляции

У меня есть мегафункция ОЗУ с одним портом, которая инициализируется файлом MIF. Я хочу просмотреть содержимое оперативной памяти после симуляции Modelsim. Есть какой-либо способ сделать это?


person MTFB    schedule 29.03.2016    source источник
comment
Я хотел бы иметь возможность сделать это, но я не вижу очевидного способа сделать это. Если вы замените мегафункцию RAM массивом verilog (в идеале — распакованным массивом systemverilog), вы сможете просмотреть это в симуляторе, и если вы сделаете это правильно, quartus все равно выведет правильную мегафункцию RAM.   -  person Evan    schedule 03.05.2019


Ответы (1)


Нет, вы не можете декодировать RTL-код мегафункции.

person Prakash Darji    schedule 30.03.2016