каково решение ошибки в сценарии TCl?

Недавно я загрузил Modelsim 10.1 с сайта altera.com и получил сообщение «Ошибка в сценарии TCL». Я не могу начать новый проект Verilog. Вот ошибка

Trace back: can't read "Project(SaveCompileReport)": no such element in array
    while executing
"if { $Project(SaveCompileReport) } {
                      Project::saveCompileReport
              }"
    (procedure "Project::unload" line 20)
    invoked from within
"Project::unload"
    (procedure "Project::checkCloseCurrentProject" line 24)
    invoked from within
"Project::checkCloseCurrentProject"
    (procedure "Project::createDialog" line 18)
    invoked from within
"Project::createDialog"
    (menu invoke)
   <2: ::tkerror {can't read "Project(SaveCompileReport)": no such element in array}
   <1: ::bgerror {can't read "Project(SaveCompileReport)": no such element in array}

Пожалуйста, если кто-нибудь может предоставить мне решение.


person rahuldce    schedule 04.11.2014    source источник
comment
Лучше задать этот вопрос на AlteraForum.   -  person Qiu    schedule 05.11.2014
comment
И как только вы получите там ответ, вернитесь сюда и запишите его здесь, чтобы другим людям было легче найти ответ.   -  person Donal Fellows    schedule 05.11.2014


Ответы (1)


Я получил ту же ошибку некоторое время назад. Это можно решить, удалив вашу рабочую папку или все, что удаляет файл проекта, который он пытается загрузить в ModelSim.

Простое удаление и повторная установка не помогут.

Надеюсь это поможет.

person Anant Simran Singh    schedule 12.11.2014
comment
Благодарю. добавьте к этому, немедленно закройте ModelSim, а затем откройте его, затем запустите новый проект - person Yaakov; 12.04.2021