оберните код Verilog в долото

Можно ли обернуть некоторый код verilog в код chisel/scala? если да, то как я могу это сделать? Мне нужно использовать какой-нибудь модуль Verilog в chisel.

благодарю вас

Франческо


person user3464253    schedule 11.06.2014    source источник
comment
Вы не можете встроить код Verilog в код Scala.   -  person DaoWen    schedule 11.06.2014
comment
Ожидается, что в вопросах будет показан код и то, что вы пробовали. Возможно, вы захотите взглянуть на Как написать идеальный вопрос.   -  person Morgan    schedule 11.06.2014
comment
@DaoWen, спрашивающий, говорит о Chisel (chisel.eecs.berkeley.edu), аппаратном языке DSL в Scala.   -  person Chris    schedule 13.06.2014


Ответы (1)


Вам нужно найти конструкцию BlackBox (https://chisel.eecs.berkeley.edu/2.2.0/chisel-manual.pdf) и посмотрите, относится ли это к вашему вопросу.

BlackBox позволит вам описать, как Chisel взаимодействует с вашим кодом Verilog. Затем вы компилируете свой дизайн Chisel в Verilog. После того, как вы получите Verilog, выведенный Chisel, вы должны вручную подключить свой проект Chisel Verilog к существующему коду Verilog.

person Chris    schedule 12.06.2014