Компиляция *.vhdl в библиотеку с помощью Altera Quartus II

Я пытаюсь использовать «Пакет с плавающей запятой и фиксированной точкой» как часть моего дизайна фильтра в VHDL. Я использую Altera Quartus II в качестве среды разработки. Я скачал пакет файлов с веб-сайта: http://www.vhdl.org/fphdl/, теперь доступно на http://web.archive.org/web/20160305202256/http://www.vhdl.org/fphdl/

В их руководстве пользователя ниже упоминается:

'fixed_float_types_c.vhdl', 'fixed_pkg_c.vhdl' and 'float_pkg_c.vhdl'
should be compiled into a library called "ieee_proposed".

Однако я точно не знаю, как я могу скомпилировать упомянутые файлы *.vhdl в библиотеку с помощью инструмента Altera Quartus II.

Любой совет будет оценен.


person ElectroJunkie    schedule 25.10.2013    source источник
comment
Строки, которые я включил выше, взяты непосредственно из их руководства пользователя, так что да.   -  person ElectroJunkie    schedule 25.10.2013
comment
Ах, да, но он не компилируется как обычный проект, потому что у него нет обычного синтаксиса VHDL, такого как «отсутствующий объект» и т. д.   -  person ElectroJunkie    schedule 25.10.2013
comment
Это хорошая идея, но как включить эти файлы без использования use ‹library_name›.all; что-то такое? не знаю как... ;(   -  person ElectroJunkie    schedule 25.10.2013
comment
гм. Тоже не вышло... Или надо спросить "как добавить эти файлы в текущую рабочую библиотеку?"   -  person ElectroJunkie    schedule 25.10.2013
comment
О, добавление строки «библиотечная работа»; до того, как 'use work.‹package›.all' позволил мне скомпилировать их без ошибок. Теперь мне нужно проверить, могу ли я действительно использовать функции библиотеки. Спасибо! :)   -  person ElectroJunkie    schedule 25.10.2013
comment
Я удалил свои комментарии, так как все они отражены в ответах.   -  person godel9    schedule 25.10.2013


Ответы (2)


Используя графический интерфейс Altera Quartus II, вы можете добавить в проект файлы 'fixed_float_types_c.vhdl', 'fixed_pkg_c.vhdl' и 'float_pkg_c.vhdl' через вкладку "Навигатор проектов" под названием "Файлы". См. рисунок ниже.

введите здесь описание изображения

Когда вы добавляете файлы, вы можете указать целевую библиотеку в разделе «Свойства». См. рисунок ниже.

введите здесь описание изображения

Вы также можете изменить файл настроек Quartus II (.qsf) для проекта и добавить строки:

set_global_assignment -name VHDL_FILE fixed_float_types_c.vhdl -library ieee_proposed
set_global_assignment -name VHDL_FILE fixed_pkg_c.vhdl -library ieee_proposed
set_global_assignment -name VHDL_FILE float_pkg_c.vhdl -library ieee_proposed

Однако вы можете захотеть определить, требуется ли компиляция в библиотеку "ieee_proposed", иначе вы можете просто скомпилировать их в "рабочую" библиотеку с другими файлами.

person Morten Zilmer    schedule 25.10.2013

Просто скомпилируйте их в work, как любые другие файлы в вашем проекте, и обратитесь к ним с помощью use work._library_name_.

person Martin Thompson    schedule 25.10.2013