SV: Как создать функциональное покрытие для переходов, не беспокоясь о тактовых циклах?

Обычно я просто использую метод повторения в течение длительного времени для определенного перехода.

ie.

  covergroup test1 @(posedge clk)
  coverpoint( signal[1], signal[0]) 
 {
  bins transition1 = (2'b00[*1:100] =>2'b11[*1:100] => 2'b00) 
 }

Есть ли другой метод проверки нескольких переходов, но без установленного ограничения на повторение. Чтобы уточнить, я хочу иметь возможность проверять, происходят ли определенные переходы, но не заботиться о времени (циклах clk).


person user1539348    schedule 31.07.2013    source источник


Ответы (1)


не определяйте событие выборки для группы покрытия, т. е. нет @(posedge clk) определяйте точку покрытия без [*1:100] и сэмплируйте с использованием covergroup_name.sample()

person Meir    schedule 05.08.2013
comment
Привет спасибо попробую! Есть ли руководство по этому поводу где-нибудь в Интернете? Я тщательно искал около 2 дней, прежде чем сдаться и просто добавить больше времени к времени повторения. - person user1539348; 06.08.2013
comment
Справочное руководство по языку SystemVerilog - person Meir; 14.08.2013