Проблемы с файлом .ucf для моей системы microblaze в ISE

Итак, я добавил свой microblaze из XPS, сгенерировал файл topvhdl, добавил файл ucf, и в моем microblaze у меня есть 4 GPIO, но я не поместил ни один из их контактов в файл .ucf, хотя они присутствуют как inout в topvhdl, но я смог чтобы скомпилировать проект и сгенерировать битовый поток. Теперь я закомментировал все контакты GPIO в верхнем vhdl и подключил GPIO моей системы microblaze к внутренним сигналам, как показано. Это также не вызвало никаких проблем, и я смог сгенерировать битовый поток. Теперь, что вызвало проблему, так это то, что когда я добавил 7 портов светодиода к внешним контактам моего верхнего файла vhdl (который не имеет ничего общего с моим GPIO), он начал сообщать мне об ошибках на контактах GPIO !!! вот код:

-------------------------------------------------------------------------------
-- system_top.vhd
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

library UNISIM;
use UNISIM.VCOMPONENTS.ALL;

entity system_top is
  port (
    fpga_0_Ethernet_MAC_PHY_tx_clk_pin : in std_logic;
    fpga_0_Ethernet_MAC_PHY_rx_clk_pin : in std_logic;
    fpga_0_Ethernet_MAC_PHY_crs_pin : in std_logic;
    fpga_0_Ethernet_MAC_PHY_dv_pin : in std_logic;
    fpga_0_Ethernet_MAC_PHY_rx_data_pin : in std_logic_vector(3 downto 0);
    fpga_0_Ethernet_MAC_PHY_col_pin : in std_logic;
    fpga_0_Ethernet_MAC_PHY_rx_er_pin : in std_logic;
    fpga_0_Ethernet_MAC_PHY_rst_n_pin : out std_logic;
    fpga_0_Ethernet_MAC_PHY_tx_en_pin : out std_logic;
    fpga_0_Ethernet_MAC_PHY_tx_data_pin : out std_logic_vector(3 downto 0);
    fpga_0_Ethernet_MAC_PHY_MDC_pin : out std_logic;
    fpga_0_Ethernet_MAC_PHY_MDIO_pin : inout std_logic;
    fpga_0_DDR2_SDRAM_DDR2_Clk_pin : out std_logic;
    fpga_0_DDR2_SDRAM_DDR2_Clk_n_pin : out std_logic;
    fpga_0_DDR2_SDRAM_DDR2_CE_pin : out std_logic;
    fpga_0_DDR2_SDRAM_DDR2_CS_n_pin : out std_logic;
    fpga_0_DDR2_SDRAM_DDR2_ODT_pin : out std_logic;
    fpga_0_DDR2_SDRAM_DDR2_RAS_n_pin : out std_logic;
    fpga_0_DDR2_SDRAM_DDR2_CAS_n_pin : out std_logic;
    fpga_0_DDR2_SDRAM_DDR2_WE_n_pin : out std_logic;
    fpga_0_DDR2_SDRAM_DDR2_BankAddr_pin : out std_logic_vector(1 downto 0);
    fpga_0_DDR2_SDRAM_DDR2_Addr_pin : out std_logic_vector(12 downto 0);
    fpga_0_DDR2_SDRAM_DDR2_DQ_pin : inout std_logic_vector(15 downto 0);
    fpga_0_DDR2_SDRAM_DDR2_DM_pin : out std_logic_vector(1 downto 0);
    fpga_0_DDR2_SDRAM_DDR2_DQS_pin : inout std_logic_vector(1 downto 0);
    fpga_0_DDR2_SDRAM_DDR2_DQS_n_pin : inout std_logic_vector(1 downto 0);
    fpga_0_DDR2_SDRAM_DDR2_DQS_Div_O_pin : out std_logic;
    fpga_0_DDR2_SDRAM_DDR2_DQS_Div_I_pin : in std_logic;
    fpga_0_clk_1_sys_clk_pin : in std_logic;
    fpga_0_rst_1_sys_rst_pin : in std_logic;
        LED : out std_logic_vector(0 to 7)--when i add this line it causses problems
--      xps_gpio_0_GPIO_IO_pin : inout std_logic_vector(0 to 31);--commented out the lines
--      xps_gpio_1_GPIO_IO_pin : inout std_logic_vector(0 to 31);
--      xps_gpio
ERROR:Place:866 - Not enough valid sites to place the following IOBs:
   IO Standard: Name = LVCMOS25, VREF = NR, VCCO = 2.50, TERM = NONE, DIR = BIDIR, DRIVE_STR = 12
    xps_gpio_0_GPIO_IO_pin<0>
    xps_gpio_0_GPIO_IO_pin<1>
    xps_gpio_0_GPIO_IO_pin<2>
    xps_gpio_0_GPIO_IO_pin<3>
    xps_gpio_0_GPIO_IO_pin<4>
    xps_gpio_0_GPIO_IO_pin<5>
    xps_gpio_0_GPIO_IO_pin<6>
    xps_gpio_0_GPIO_IO_pin<7>
    xps_gpio_0_GPIO_IO_pin<8>
    xps_gpio_0_GPIO_IO_pin<9>
    xps_gpio_1_GPIO_IO_pin<0>
    xps_gpio_1_GPIO_IO_pin<1>
    xps_gpio_1_GPIO_IO_pin<2>
    xps_gpio_1_GPIO_IO_pin<3>
    xps_gpio_1_GPIO_IO_pin<4>
    xps_gpio_1_GPIO_IO_pin<5>
    xps_gpio_1_GPIO_IO_pin<6>
    xps_gpio_1_GPIO_IO_pin<7>
    xps_gpio_1_GPIO_IO_pin<8>
    xps_gpio_1_GPIO_IO_pin<9>
    xps_gpio_1_GPIO_IO_pin<10>
    xps_gpio_1_GPIO_IO_pin<11>
    xps_gpio_1_GPIO_IO_pin<12>
    xps_gpio_1_GPIO_IO_pin<20>
    xps_gpio_1_GPIO_IO_pin<13>
    xps_gpio_1_GPIO_IO_pin<21>
    xps_gpio_1_GPIO_IO_pin<14>
    xps_gpio_1_GPIO_IO_pin<22>
    xps_gpio_1_GPIO_IO_pin<30>
    xps_gpio_1_GPIO_IO_pin<15>
    xps_gpio_1_GPIO_IO_pin<23>
    xps_gpio_1_GPIO_IO_pin<31>
    xps_gpio_1_GPIO_IO_pin<16>
    xps_gpio_1_GPIO_IO_pin<24>
    xps_gpio_1_GPIO_IO_pin<17>
    xps_gpio_1_GPIO_IO_pin<25>
    xps_gpio_1_GPIO_IO_pin<18>
    xps_gpio_1_GPIO_IO_pin<26>
    xps_gpio_1_GPIO_IO_pin<19>
    xps_gpio_1_GPIO_IO_pin<27>
    xps_gpio_1_GPIO_IO_pin<28>
    xps_gpio_1_GPIO_IO_pin<29>
    xps_gpio_3_GPIO_IO_pin<10>
    xps_gpio_3_GPIO_IO_pin<11>
    xps_gpio_3_GPIO_IO_pin<12>
    xps_gpio_3_GPIO_IO_pin<20>
    xps_gpio_3_GPIO_IO_pin<13>
    xps_gpio_3_GPIO_IO_pin<21>
    xps_gpio_3_GPIO_IO_pin<14>
    xps_gpio_3_GPIO_IO_pin<22>
    xps_gpio_3_GPIO_IO_pin<30>
    xps_gpio_3_GPIO_IO_pin<15>
    xps_gpio_3_GPIO_IO_pin<23>
    xps_gpio_3_GPIO_IO_pin<31>
    xps_gpio_3_GPIO_IO_pin<16>
    xps_gpio_3_GPIO_IO_pin<24>
    xps_gpio_3_GPIO_IO_pin<17>
    xps_gpio_3_GPIO_IO_pin<25>
    xps_gpio_3_GPIO_IO_pin<18>
    xps_gpio_3_GPIO_IO_pin<26>
    xps_gpio_3_GPIO_IO_pin<19>
    xps_gpio_3_GPIO_IO_pin<27>
    xps_gpio_3_GPIO_IO_pin<28>
    xps_gpio_3_GPIO_IO_pin<29>
    xps_gpio_2_GPIO_IO_pin<0>
    xps_gpio_2_GPIO_IO_pin<1>
    xps_gpio_2_GPIO_IO_pin<2>
    xps_gpio_2_GPIO_IO_pin<3>
    xps_gpio_2_GPIO_IO_pin<4>
    xps_gpio_2_GPIO_IO_pin<5>
    xps_gpio_2_GPIO_IO_pin<6>
    xps_gpio_2_GPIO_IO_pin<7>
    xps_gpio_2_GPIO_IO_pin<8>
    xps_gpio_2_GPIO_IO_pin<9>
    xps_gpio_0_GPIO_IO_pin<10>
    xps_gpio_0_GPIO_IO_pin<11>
    xps_gpio_0_GPIO_IO_pin<12>
    xps_gpio_0_GPIO_IO_pin<20>
    xps_gpio_0_GPIO_IO_pin<13>
    xps_gpio_0_GPIO_IO_pin<21>
    xps_gpio_0_GPIO_IO_pin<14>
    xps_gpio_0_GPIO_IO_pin<22>
    xps_gpio_0_GPIO_IO_pin<30>
    xps_gpio_0_GPIO_IO_pin<15>
    xps_gpio_0_GPIO_IO_pin<23>
    xps_gpio_0_GPIO_IO_pin<31>
    xps_gpio_0_GPIO_IO_pin<16>
    xps_gpio_0_GPIO_IO_pin<24>
    xps_gpio_0_GPIO_IO_pin<17>
    xps_gpio_0_GPIO_IO_pin<25>
    xps_gpio_0_GPIO_IO_pin<18>
    xps_gpio_0_GPIO_IO_pin<26>
    xps_gpio_0_GPIO_IO_pin<19>
    xps_gpio_0_GPIO_IO_pin<27>
    xps_gpio_0_GPIO_IO_pin<28>
    xps_gpio_0_GPIO_IO_pin<29>
    xps_gpio_3_GPIO_IO_pin<0>
    xps_gpio_3_GPIO_IO_pin<1>
    xps_gpio_3_GPIO_IO_pin<2>
    xps_gpio_3_GPIO_IO_pin<3>
    xps_gpio_3_GPIO_IO_pin<4>
    xps_gpio_3_GPIO_IO_pin<5>
    xps_gpio_3_GPIO_IO_pin<6>
    xps_gpio_3_GPIO_IO_pin<7>
    xps_gpio_3_GPIO_IO_pin<8>
    xps_gpio_3_GPIO_IO_pin<9>
    xps_gpio_2_GPIO_IO_pin<10>
    xps_gpio_2_GPIO_IO_pin<11>
    xps_gpio_2_GPIO_IO_pin<12>
    xps_gpio_2_GPIO_IO_pin<20>
    xps_gpio_2_GPIO_IO_pin<13>
    xps_gpio_2_GPIO_IO_pin<21>
    xps_gpio_2_GPIO_IO_pin<14>
    xps_gpio_2_GPIO_IO_pin<22>
    xps_gpio_2_GPIO_IO_pin<30>
    xps_gpio_2_GPIO_IO_pin<15>
    xps_gpio_2_GPIO_IO_pin<23>
    xps_gpio_2_GPIO_IO_pin<31>
    xps_gpio_2_GPIO_IO_pin<16>
    xps_gpio_2_GPIO_IO_pin<24>
    xps_gpio_2_GPIO_IO_pin<17>
    xps_gpio_2_GPIO_IO_pin<25>
    xps_gpio_2_GPIO_IO_pin<18>
    xps_gpio_2_GPIO_IO_pin<26>
    xps_gpio_2_GPIO_IO_pin<19>
    xps_gpio_2_GPIO_IO_pin<27>
    xps_gpio_2_GPIO_IO_pin<28>
    xps_gpio_2_GPIO_IO_pin<29>
GPIO_IO_pin : inout std_logic_vector(0 to 31); -- xps_gpio_3_GPIO_IO_pin : inout std_logic_vector(0 to 31) ); end system_top; architecture STRUCTURE of system_top is component system is port ( fpga_0_Ethernet_MAC_PHY_tx_clk_pin : in std_logic; fpga_0_Ethernet_MAC_PHY_rx_clk_pin : in std_logic; fpga_0_Ethernet_MAC_PHY_crs_pin : in std_logic; fpga_0_Ethernet_MAC_PHY_dv_pin : in std_logic; fpga_0_Ethernet_MAC_PHY_rx_data_pin : in std_logic_vector(3 downto 0); fpga_0_Ethernet_MAC_PHY_col_pin : in std_logic; fpga_0_Ethernet_MAC_PHY_rx_er_pin : in std_logic; fpga_0_Ethernet_MAC_PHY_rst_n_pin : out std_logic; fpga_0_Ethernet_MAC_PHY_tx_en_pin : out std_logic; fpga_0_Ethernet_MAC_PHY_tx_data_pin : out std_logic_vector(3 downto 0); fpga_0_Ethernet_MAC_PHY_MDC_pin : out std_logic; fpga_0_Ethernet_MAC_PHY_MDIO_pin : inout std_logic; fpga_0_DDR2_SDRAM_DDR2_Clk_pin : out std_logic; fpga_0_DDR2_SDRAM_DDR2_Clk_n_pin : out std_logic; fpga_0_DDR2_SDRAM_DDR2_CE_pin : out std_logic; fpga_0_DDR2_SDRAM_DDR2_CS_n_pin : out std_logic; fpga_0_DDR2_SDRAM_DDR2_ODT_pin : out std_logic; fpga_0_DDR2_SDRAM_DDR2_RAS_n_pin : out std_logic; fpga_0_DDR2_SDRAM_DDR2_CAS_n_pin : out std_logic; fpga_0_DDR2_SDRAM_DDR2_WE_n_pin : out std_logic; fpga_0_DDR2_SDRAM_DDR2_BankAddr_pin : out std_logic_vector(1 downto 0); fpga_0_DDR2_SDRAM_DDR2_Addr_pin : out std_logic_vector(12 downto 0); fpga_0_DDR2_SDRAM_DDR2_DQ_pin : inout std_logic_vector(15 downto 0); fpga_0_DDR2_SDRAM_DDR2_DM_pin : out std_logic_vector(1 downto 0); fpga_0_DDR2_SDRAM_DDR2_DQS_pin : inout std_logic_vector(1 downto 0); fpga_0_DDR2_SDRAM_DDR2_DQS_n_pin : inout std_logic_vector(1 downto 0); fpga_0_DDR2_SDRAM_DDR2_DQS_Div_O_pin : out std_logic; fpga_0_DDR2_SDRAM_DDR2_DQS_Div_I_pin : in std_logic; fpga_0_clk_1_sys_clk_pin : in std_logic; fpga_0_rst_1_sys_rst_pin : in std_logic; xps_gpio_0_GPIO_IO_pin : inout std_logic_vector(0 to 31); xps_gpio_1_GPIO_IO_pin : inout std_logic_vector(0 to 31); xps_gpio
ERROR:Place:866 - Not enough valid sites to place the following IOBs:
   IO Standard: Name = LVCMOS25, VREF = NR, VCCO = 2.50, TERM = NONE, DIR = BIDIR, DRIVE_STR = 12
    xps_gpio_0_GPIO_IO_pin<0>
    xps_gpio_0_GPIO_IO_pin<1>
    xps_gpio_0_GPIO_IO_pin<2>
    xps_gpio_0_GPIO_IO_pin<3>
    xps_gpio_0_GPIO_IO_pin<4>
    xps_gpio_0_GPIO_IO_pin<5>
    xps_gpio_0_GPIO_IO_pin<6>
    xps_gpio_0_GPIO_IO_pin<7>
    xps_gpio_0_GPIO_IO_pin<8>
    xps_gpio_0_GPIO_IO_pin<9>
    xps_gpio_1_GPIO_IO_pin<0>
    xps_gpio_1_GPIO_IO_pin<1>
    xps_gpio_1_GPIO_IO_pin<2>
    xps_gpio_1_GPIO_IO_pin<3>
    xps_gpio_1_GPIO_IO_pin<4>
    xps_gpio_1_GPIO_IO_pin<5>
    xps_gpio_1_GPIO_IO_pin<6>
    xps_gpio_1_GPIO_IO_pin<7>
    xps_gpio_1_GPIO_IO_pin<8>
    xps_gpio_1_GPIO_IO_pin<9>
    xps_gpio_1_GPIO_IO_pin<10>
    xps_gpio_1_GPIO_IO_pin<11>
    xps_gpio_1_GPIO_IO_pin<12>
    xps_gpio_1_GPIO_IO_pin<20>
    xps_gpio_1_GPIO_IO_pin<13>
    xps_gpio_1_GPIO_IO_pin<21>
    xps_gpio_1_GPIO_IO_pin<14>
    xps_gpio_1_GPIO_IO_pin<22>
    xps_gpio_1_GPIO_IO_pin<30>
    xps_gpio_1_GPIO_IO_pin<15>
    xps_gpio_1_GPIO_IO_pin<23>
    xps_gpio_1_GPIO_IO_pin<31>
    xps_gpio_1_GPIO_IO_pin<16>
    xps_gpio_1_GPIO_IO_pin<24>
    xps_gpio_1_GPIO_IO_pin<17>
    xps_gpio_1_GPIO_IO_pin<25>
    xps_gpio_1_GPIO_IO_pin<18>
    xps_gpio_1_GPIO_IO_pin<26>
    xps_gpio_1_GPIO_IO_pin<19>
    xps_gpio_1_GPIO_IO_pin<27>
    xps_gpio_1_GPIO_IO_pin<28>
    xps_gpio_1_GPIO_IO_pin<29>
    xps_gpio_3_GPIO_IO_pin<10>
    xps_gpio_3_GPIO_IO_pin<11>
    xps_gpio_3_GPIO_IO_pin<12>
    xps_gpio_3_GPIO_IO_pin<20>
    xps_gpio_3_GPIO_IO_pin<13>
    xps_gpio_3_GPIO_IO_pin<21>
    xps_gpio_3_GPIO_IO_pin<14>
    xps_gpio_3_GPIO_IO_pin<22>
    xps_gpio_3_GPIO_IO_pin<30>
    xps_gpio_3_GPIO_IO_pin<15>
    xps_gpio_3_GPIO_IO_pin<23>
    xps_gpio_3_GPIO_IO_pin<31>
    xps_gpio_3_GPIO_IO_pin<16>
    xps_gpio_3_GPIO_IO_pin<24>
    xps_gpio_3_GPIO_IO_pin<17>
    xps_gpio_3_GPIO_IO_pin<25>
    xps_gpio_3_GPIO_IO_pin<18>
    xps_gpio_3_GPIO_IO_pin<26>
    xps_gpio_3_GPIO_IO_pin<19>
    xps_gpio_3_GPIO_IO_pin<27>
    xps_gpio_3_GPIO_IO_pin<28>
    xps_gpio_3_GPIO_IO_pin<29>
    xps_gpio_2_GPIO_IO_pin<0>
    xps_gpio_2_GPIO_IO_pin<1>
    xps_gpio_2_GPIO_IO_pin<2>
    xps_gpio_2_GPIO_IO_pin<3>
    xps_gpio_2_GPIO_IO_pin<4>
    xps_gpio_2_GPIO_IO_pin<5>
    xps_gpio_2_GPIO_IO_pin<6>
    xps_gpio_2_GPIO_IO_pin<7>
    xps_gpio_2_GPIO_IO_pin<8>
    xps_gpio_2_GPIO_IO_pin<9>
    xps_gpio_0_GPIO_IO_pin<10>
    xps_gpio_0_GPIO_IO_pin<11>
    xps_gpio_0_GPIO_IO_pin<12>
    xps_gpio_0_GPIO_IO_pin<20>
    xps_gpio_0_GPIO_IO_pin<13>
    xps_gpio_0_GPIO_IO_pin<21>
    xps_gpio_0_GPIO_IO_pin<14>
    xps_gpio_0_GPIO_IO_pin<22>
    xps_gpio_0_GPIO_IO_pin<30>
    xps_gpio_0_GPIO_IO_pin<15>
    xps_gpio_0_GPIO_IO_pin<23>
    xps_gpio_0_GPIO_IO_pin<31>
    xps_gpio_0_GPIO_IO_pin<16>
    xps_gpio_0_GPIO_IO_pin<24>
    xps_gpio_0_GPIO_IO_pin<17>
    xps_gpio_0_GPIO_IO_pin<25>
    xps_gpio_0_GPIO_IO_pin<18>
    xps_gpio_0_GPIO_IO_pin<26>
    xps_gpio_0_GPIO_IO_pin<19>
    xps_gpio_0_GPIO_IO_pin<27>
    xps_gpio_0_GPIO_IO_pin<28>
    xps_gpio_0_GPIO_IO_pin<29>
    xps_gpio_3_GPIO_IO_pin<0>
    xps_gpio_3_GPIO_IO_pin<1>
    xps_gpio_3_GPIO_IO_pin<2>
    xps_gpio_3_GPIO_IO_pin<3>
    xps_gpio_3_GPIO_IO_pin<4>
    xps_gpio_3_GPIO_IO_pin<5>
    xps_gpio_3_GPIO_IO_pin<6>
    xps_gpio_3_GPIO_IO_pin<7>
    xps_gpio_3_GPIO_IO_pin<8>
    xps_gpio_3_GPIO_IO_pin<9>
    xps_gpio_2_GPIO_IO_pin<10>
    xps_gpio_2_GPIO_IO_pin<11>
    xps_gpio_2_GPIO_IO_pin<12>
    xps_gpio_2_GPIO_IO_pin<20>
    xps_gpio_2_GPIO_IO_pin<13>
    xps_gpio_2_GPIO_IO_pin<21>
    xps_gpio_2_GPIO_IO_pin<14>
    xps_gpio_2_GPIO_IO_pin<22>
    xps_gpio_2_GPIO_IO_pin<30>
    xps_gpio_2_GPIO_IO_pin<15>
    xps_gpio_2_GPIO_IO_pin<23>
    xps_gpio_2_GPIO_IO_pin<31>
    xps_gpio_2_GPIO_IO_pin<16>
    xps_gpio_2_GPIO_IO_pin<24>
    xps_gpio_2_GPIO_IO_pin<17>
    xps_gpio_2_GPIO_IO_pin<25>
    xps_gpio_2_GPIO_IO_pin<18>
    xps_gpio_2_GPIO_IO_pin<26>
    xps_gpio_2_GPIO_IO_pin<19>
    xps_gpio_2_GPIO_IO_pin<27>
    xps_gpio_2_GPIO_IO_pin<28>
    xps_gpio_2_GPIO_IO_pin<29>
GPIO_IO_pin : inout std_logic_vector(0 to 31); xps_gpio_3_GPIO_IO_pin : inout std_logic_vector(0 to 31) ); end component; attribute BUFFER_TYPE : STRING; attribute BOX_TYPE : STRING; attribute BUFFER_TYPE of fpga_0_Ethernet_MAC_PHY_tx_clk_pin : signal is "IBUF"; attribute BUFFER_TYPE of fpga_0_Ethernet_MAC_PHY_rx_clk_pin : signal is "IBUF"; attribute BOX_TYPE of system : component is "user_black_box"; signal xps_gpio_0_GPIO_IO : std_logic_vector(0 to 31); signal xps_gpio_1_GPIO_IO : std_logic_vector(0 to 31); signal xps_gpio
ERROR:Place:866 - Not enough valid sites to place the following IOBs:
   IO Standard: Name = LVCMOS25, VREF = NR, VCCO = 2.50, TERM = NONE, DIR = BIDIR, DRIVE_STR = 12
    xps_gpio_0_GPIO_IO_pin<0>
    xps_gpio_0_GPIO_IO_pin<1>
    xps_gpio_0_GPIO_IO_pin<2>
    xps_gpio_0_GPIO_IO_pin<3>
    xps_gpio_0_GPIO_IO_pin<4>
    xps_gpio_0_GPIO_IO_pin<5>
    xps_gpio_0_GPIO_IO_pin<6>
    xps_gpio_0_GPIO_IO_pin<7>
    xps_gpio_0_GPIO_IO_pin<8>
    xps_gpio_0_GPIO_IO_pin<9>
    xps_gpio_1_GPIO_IO_pin<0>
    xps_gpio_1_GPIO_IO_pin<1>
    xps_gpio_1_GPIO_IO_pin<2>
    xps_gpio_1_GPIO_IO_pin<3>
    xps_gpio_1_GPIO_IO_pin<4>
    xps_gpio_1_GPIO_IO_pin<5>
    xps_gpio_1_GPIO_IO_pin<6>
    xps_gpio_1_GPIO_IO_pin<7>
    xps_gpio_1_GPIO_IO_pin<8>
    xps_gpio_1_GPIO_IO_pin<9>
    xps_gpio_1_GPIO_IO_pin<10>
    xps_gpio_1_GPIO_IO_pin<11>
    xps_gpio_1_GPIO_IO_pin<12>
    xps_gpio_1_GPIO_IO_pin<20>
    xps_gpio_1_GPIO_IO_pin<13>
    xps_gpio_1_GPIO_IO_pin<21>
    xps_gpio_1_GPIO_IO_pin<14>
    xps_gpio_1_GPIO_IO_pin<22>
    xps_gpio_1_GPIO_IO_pin<30>
    xps_gpio_1_GPIO_IO_pin<15>
    xps_gpio_1_GPIO_IO_pin<23>
    xps_gpio_1_GPIO_IO_pin<31>
    xps_gpio_1_GPIO_IO_pin<16>
    xps_gpio_1_GPIO_IO_pin<24>
    xps_gpio_1_GPIO_IO_pin<17>
    xps_gpio_1_GPIO_IO_pin<25>
    xps_gpio_1_GPIO_IO_pin<18>
    xps_gpio_1_GPIO_IO_pin<26>
    xps_gpio_1_GPIO_IO_pin<19>
    xps_gpio_1_GPIO_IO_pin<27>
    xps_gpio_1_GPIO_IO_pin<28>
    xps_gpio_1_GPIO_IO_pin<29>
    xps_gpio_3_GPIO_IO_pin<10>
    xps_gpio_3_GPIO_IO_pin<11>
    xps_gpio_3_GPIO_IO_pin<12>
    xps_gpio_3_GPIO_IO_pin<20>
    xps_gpio_3_GPIO_IO_pin<13>
    xps_gpio_3_GPIO_IO_pin<21>
    xps_gpio_3_GPIO_IO_pin<14>
    xps_gpio_3_GPIO_IO_pin<22>
    xps_gpio_3_GPIO_IO_pin<30>
    xps_gpio_3_GPIO_IO_pin<15>
    xps_gpio_3_GPIO_IO_pin<23>
    xps_gpio_3_GPIO_IO_pin<31>
    xps_gpio_3_GPIO_IO_pin<16>
    xps_gpio_3_GPIO_IO_pin<24>
    xps_gpio_3_GPIO_IO_pin<17>
    xps_gpio_3_GPIO_IO_pin<25>
    xps_gpio_3_GPIO_IO_pin<18>
    xps_gpio_3_GPIO_IO_pin<26>
    xps_gpio_3_GPIO_IO_pin<19>
    xps_gpio_3_GPIO_IO_pin<27>
    xps_gpio_3_GPIO_IO_pin<28>
    xps_gpio_3_GPIO_IO_pin<29>
    xps_gpio_2_GPIO_IO_pin<0>
    xps_gpio_2_GPIO_IO_pin<1>
    xps_gpio_2_GPIO_IO_pin<2>
    xps_gpio_2_GPIO_IO_pin<3>
    xps_gpio_2_GPIO_IO_pin<4>
    xps_gpio_2_GPIO_IO_pin<5>
    xps_gpio_2_GPIO_IO_pin<6>
    xps_gpio_2_GPIO_IO_pin<7>
    xps_gpio_2_GPIO_IO_pin<8>
    xps_gpio_2_GPIO_IO_pin<9>
    xps_gpio_0_GPIO_IO_pin<10>
    xps_gpio_0_GPIO_IO_pin<11>
    xps_gpio_0_GPIO_IO_pin<12>
    xps_gpio_0_GPIO_IO_pin<20>
    xps_gpio_0_GPIO_IO_pin<13>
    xps_gpio_0_GPIO_IO_pin<21>
    xps_gpio_0_GPIO_IO_pin<14>
    xps_gpio_0_GPIO_IO_pin<22>
    xps_gpio_0_GPIO_IO_pin<30>
    xps_gpio_0_GPIO_IO_pin<15>
    xps_gpio_0_GPIO_IO_pin<23>
    xps_gpio_0_GPIO_IO_pin<31>
    xps_gpio_0_GPIO_IO_pin<16>
    xps_gpio_0_GPIO_IO_pin<24>
    xps_gpio_0_GPIO_IO_pin<17>
    xps_gpio_0_GPIO_IO_pin<25>
    xps_gpio_0_GPIO_IO_pin<18>
    xps_gpio_0_GPIO_IO_pin<26>
    xps_gpio_0_GPIO_IO_pin<19>
    xps_gpio_0_GPIO_IO_pin<27>
    xps_gpio_0_GPIO_IO_pin<28>
    xps_gpio_0_GPIO_IO_pin<29>
    xps_gpio_3_GPIO_IO_pin<0>
    xps_gpio_3_GPIO_IO_pin<1>
    xps_gpio_3_GPIO_IO_pin<2>
    xps_gpio_3_GPIO_IO_pin<3>
    xps_gpio_3_GPIO_IO_pin<4>
    xps_gpio_3_GPIO_IO_pin<5>
    xps_gpio_3_GPIO_IO_pin<6>
    xps_gpio_3_GPIO_IO_pin<7>
    xps_gpio_3_GPIO_IO_pin<8>
    xps_gpio_3_GPIO_IO_pin<9>
    xps_gpio_2_GPIO_IO_pin<10>
    xps_gpio_2_GPIO_IO_pin<11>
    xps_gpio_2_GPIO_IO_pin<12>
    xps_gpio_2_GPIO_IO_pin<20>
    xps_gpio_2_GPIO_IO_pin<13>
    xps_gpio_2_GPIO_IO_pin<21>
    xps_gpio_2_GPIO_IO_pin<14>
    xps_gpio_2_GPIO_IO_pin<22>
    xps_gpio_2_GPIO_IO_pin<30>
    xps_gpio_2_GPIO_IO_pin<15>
    xps_gpio_2_GPIO_IO_pin<23>
    xps_gpio_2_GPIO_IO_pin<31>
    xps_gpio_2_GPIO_IO_pin<16>
    xps_gpio_2_GPIO_IO_pin<24>
    xps_gpio_2_GPIO_IO_pin<17>
    xps_gpio_2_GPIO_IO_pin<25>
    xps_gpio_2_GPIO_IO_pin<18>
    xps_gpio_2_GPIO_IO_pin<26>
    xps_gpio_2_GPIO_IO_pin<19>
    xps_gpio_2_GPIO_IO_pin<27>
    xps_gpio_2_GPIO_IO_pin<28>
    xps_gpio_2_GPIO_IO_pin<29>
GPIO_IO : std_logic_vector(0 to 31); signal xps_gpio_3_GPIO_IO : std_logic_vector(0 to 31); begin system_i : system port map ( fpga_0_Ethernet_MAC_PHY_tx_clk_pin => fpga_0_Ethernet_MAC_PHY_tx_clk_pin, fpga_0_Ethernet_MAC_PHY_rx_clk_pin => fpga_0_Ethernet_MAC_PHY_rx_clk_pin, fpga_0_Ethernet_MAC_PHY_crs_pin => fpga_0_Ethernet_MAC_PHY_crs_pin, fpga_0_Ethernet_MAC_PHY_dv_pin => fpga_0_Ethernet_MAC_PHY_dv_pin, fpga_0_Ethernet_MAC_PHY_rx_data_pin => fpga_0_Ethernet_MAC_PHY_rx_data_pin, fpga_0_Ethernet_MAC_PHY_col_pin => fpga_0_Ethernet_MAC_PHY_col_pin, fpga_0_Ethernet_MAC_PHY_rx_er_pin => fpga_0_Ethernet_MAC_PHY_rx_er_pin, fpga_0_Ethernet_MAC_PHY_rst_n_pin => fpga_0_Ethernet_MAC_PHY_rst_n_pin, fpga_0_Ethernet_MAC_PHY_tx_en_pin => fpga_0_Ethernet_MAC_PHY_tx_en_pin, fpga_0_Ethernet_MAC_PHY_tx_data_pin => fpga_0_Ethernet_MAC_PHY_tx_data_pin, fpga_0_Ethernet_MAC_PHY_MDC_pin => fpga_0_Ethernet_MAC_PHY_MDC_pin, fpga_0_Ethernet_MAC_PHY_MDIO_pin => fpga_0_Ethernet_MAC_PHY_MDIO_pin, fpga_0_DDR2_SDRAM_DDR2_Clk_pin => fpga_0_DDR2_SDRAM_DDR2_Clk_pin, fpga_0_DDR2_SDRAM_DDR2_Clk_n_pin => fpga_0_DDR2_SDRAM_DDR2_Clk_n_pin, fpga_0_DDR2_SDRAM_DDR2_CE_pin => fpga_0_DDR2_SDRAM_DDR2_CE_pin, fpga_0_DDR2_SDRAM_DDR2_CS_n_pin => fpga_0_DDR2_SDRAM_DDR2_CS_n_pin, fpga_0_DDR2_SDRAM_DDR2_ODT_pin => fpga_0_DDR2_SDRAM_DDR2_ODT_pin, fpga_0_DDR2_SDRAM_DDR2_RAS_n_pin => fpga_0_DDR2_SDRAM_DDR2_RAS_n_pin, fpga_0_DDR2_SDRAM_DDR2_CAS_n_pin => fpga_0_DDR2_SDRAM_DDR2_CAS_n_pin, fpga_0_DDR2_SDRAM_DDR2_WE_n_pin => fpga_0_DDR2_SDRAM_DDR2_WE_n_pin, fpga_0_DDR2_SDRAM_DDR2_BankAddr_pin => fpga_0_DDR2_SDRAM_DDR2_BankAddr_pin, fpga_0_DDR2_SDRAM_DDR2_Addr_pin => fpga_0_DDR2_SDRAM_DDR2_Addr_pin, fpga_0_DDR2_SDRAM_DDR2_DQ_pin => fpga_0_DDR2_SDRAM_DDR2_DQ_pin, fpga_0_DDR2_SDRAM_DDR2_DM_pin => fpga_0_DDR2_SDRAM_DDR2_DM_pin, fpga_0_DDR2_SDRAM_DDR2_DQS_pin => fpga_0_DDR2_SDRAM_DDR2_DQS_pin, fpga_0_DDR2_SDRAM_DDR2_DQS_n_pin => fpga_0_DDR2_SDRAM_DDR2_DQS_n_pin, fpga_0_DDR2_SDRAM_DDR2_DQS_Div_O_pin => fpga_0_DDR2_SDRAM_DDR2_DQS_Div_O_pin, fpga_0_DDR2_SDRAM_DDR2_DQS_Div_I_pin => fpga_0_DDR2_SDRAM_DDR2_DQS_Div_I_pin, fpga_0_clk_1_sys_clk_pin => fpga_0_clk_1_sys_clk_pin, fpga_0_rst_1_sys_rst_pin => fpga_0_rst_1_sys_rst_pin, xps_gpio_0_GPIO_IO_pin => xps_gpio_0_GPIO_IO,--connected to a signal not any external pin xps_gpio_1_GPIO_IO_pin => xps_gpio_1_GPIO_IO,--connected to a signal not any external pin xps_gpio
ERROR:Place:866 - Not enough valid sites to place the following IOBs:
   IO Standard: Name = LVCMOS25, VREF = NR, VCCO = 2.50, TERM = NONE, DIR = BIDIR, DRIVE_STR = 12
    xps_gpio_0_GPIO_IO_pin<0>
    xps_gpio_0_GPIO_IO_pin<1>
    xps_gpio_0_GPIO_IO_pin<2>
    xps_gpio_0_GPIO_IO_pin<3>
    xps_gpio_0_GPIO_IO_pin<4>
    xps_gpio_0_GPIO_IO_pin<5>
    xps_gpio_0_GPIO_IO_pin<6>
    xps_gpio_0_GPIO_IO_pin<7>
    xps_gpio_0_GPIO_IO_pin<8>
    xps_gpio_0_GPIO_IO_pin<9>
    xps_gpio_1_GPIO_IO_pin<0>
    xps_gpio_1_GPIO_IO_pin<1>
    xps_gpio_1_GPIO_IO_pin<2>
    xps_gpio_1_GPIO_IO_pin<3>
    xps_gpio_1_GPIO_IO_pin<4>
    xps_gpio_1_GPIO_IO_pin<5>
    xps_gpio_1_GPIO_IO_pin<6>
    xps_gpio_1_GPIO_IO_pin<7>
    xps_gpio_1_GPIO_IO_pin<8>
    xps_gpio_1_GPIO_IO_pin<9>
    xps_gpio_1_GPIO_IO_pin<10>
    xps_gpio_1_GPIO_IO_pin<11>
    xps_gpio_1_GPIO_IO_pin<12>
    xps_gpio_1_GPIO_IO_pin<20>
    xps_gpio_1_GPIO_IO_pin<13>
    xps_gpio_1_GPIO_IO_pin<21>
    xps_gpio_1_GPIO_IO_pin<14>
    xps_gpio_1_GPIO_IO_pin<22>
    xps_gpio_1_GPIO_IO_pin<30>
    xps_gpio_1_GPIO_IO_pin<15>
    xps_gpio_1_GPIO_IO_pin<23>
    xps_gpio_1_GPIO_IO_pin<31>
    xps_gpio_1_GPIO_IO_pin<16>
    xps_gpio_1_GPIO_IO_pin<24>
    xps_gpio_1_GPIO_IO_pin<17>
    xps_gpio_1_GPIO_IO_pin<25>
    xps_gpio_1_GPIO_IO_pin<18>
    xps_gpio_1_GPIO_IO_pin<26>
    xps_gpio_1_GPIO_IO_pin<19>
    xps_gpio_1_GPIO_IO_pin<27>
    xps_gpio_1_GPIO_IO_pin<28>
    xps_gpio_1_GPIO_IO_pin<29>
    xps_gpio_3_GPIO_IO_pin<10>
    xps_gpio_3_GPIO_IO_pin<11>
    xps_gpio_3_GPIO_IO_pin<12>
    xps_gpio_3_GPIO_IO_pin<20>
    xps_gpio_3_GPIO_IO_pin<13>
    xps_gpio_3_GPIO_IO_pin<21>
    xps_gpio_3_GPIO_IO_pin<14>
    xps_gpio_3_GPIO_IO_pin<22>
    xps_gpio_3_GPIO_IO_pin<30>
    xps_gpio_3_GPIO_IO_pin<15>
    xps_gpio_3_GPIO_IO_pin<23>
    xps_gpio_3_GPIO_IO_pin<31>
    xps_gpio_3_GPIO_IO_pin<16>
    xps_gpio_3_GPIO_IO_pin<24>
    xps_gpio_3_GPIO_IO_pin<17>
    xps_gpio_3_GPIO_IO_pin<25>
    xps_gpio_3_GPIO_IO_pin<18>
    xps_gpio_3_GPIO_IO_pin<26>
    xps_gpio_3_GPIO_IO_pin<19>
    xps_gpio_3_GPIO_IO_pin<27>
    xps_gpio_3_GPIO_IO_pin<28>
    xps_gpio_3_GPIO_IO_pin<29>
    xps_gpio_2_GPIO_IO_pin<0>
    xps_gpio_2_GPIO_IO_pin<1>
    xps_gpio_2_GPIO_IO_pin<2>
    xps_gpio_2_GPIO_IO_pin<3>
    xps_gpio_2_GPIO_IO_pin<4>
    xps_gpio_2_GPIO_IO_pin<5>
    xps_gpio_2_GPIO_IO_pin<6>
    xps_gpio_2_GPIO_IO_pin<7>
    xps_gpio_2_GPIO_IO_pin<8>
    xps_gpio_2_GPIO_IO_pin<9>
    xps_gpio_0_GPIO_IO_pin<10>
    xps_gpio_0_GPIO_IO_pin<11>
    xps_gpio_0_GPIO_IO_pin<12>
    xps_gpio_0_GPIO_IO_pin<20>
    xps_gpio_0_GPIO_IO_pin<13>
    xps_gpio_0_GPIO_IO_pin<21>
    xps_gpio_0_GPIO_IO_pin<14>
    xps_gpio_0_GPIO_IO_pin<22>
    xps_gpio_0_GPIO_IO_pin<30>
    xps_gpio_0_GPIO_IO_pin<15>
    xps_gpio_0_GPIO_IO_pin<23>
    xps_gpio_0_GPIO_IO_pin<31>
    xps_gpio_0_GPIO_IO_pin<16>
    xps_gpio_0_GPIO_IO_pin<24>
    xps_gpio_0_GPIO_IO_pin<17>
    xps_gpio_0_GPIO_IO_pin<25>
    xps_gpio_0_GPIO_IO_pin<18>
    xps_gpio_0_GPIO_IO_pin<26>
    xps_gpio_0_GPIO_IO_pin<19>
    xps_gpio_0_GPIO_IO_pin<27>
    xps_gpio_0_GPIO_IO_pin<28>
    xps_gpio_0_GPIO_IO_pin<29>
    xps_gpio_3_GPIO_IO_pin<0>
    xps_gpio_3_GPIO_IO_pin<1>
    xps_gpio_3_GPIO_IO_pin<2>
    xps_gpio_3_GPIO_IO_pin<3>
    xps_gpio_3_GPIO_IO_pin<4>
    xps_gpio_3_GPIO_IO_pin<5>
    xps_gpio_3_GPIO_IO_pin<6>
    xps_gpio_3_GPIO_IO_pin<7>
    xps_gpio_3_GPIO_IO_pin<8>
    xps_gpio_3_GPIO_IO_pin<9>
    xps_gpio_2_GPIO_IO_pin<10>
    xps_gpio_2_GPIO_IO_pin<11>
    xps_gpio_2_GPIO_IO_pin<12>
    xps_gpio_2_GPIO_IO_pin<20>
    xps_gpio_2_GPIO_IO_pin<13>
    xps_gpio_2_GPIO_IO_pin<21>
    xps_gpio_2_GPIO_IO_pin<14>
    xps_gpio_2_GPIO_IO_pin<22>
    xps_gpio_2_GPIO_IO_pin<30>
    xps_gpio_2_GPIO_IO_pin<15>
    xps_gpio_2_GPIO_IO_pin<23>
    xps_gpio_2_GPIO_IO_pin<31>
    xps_gpio_2_GPIO_IO_pin<16>
    xps_gpio_2_GPIO_IO_pin<24>
    xps_gpio_2_GPIO_IO_pin<17>
    xps_gpio_2_GPIO_IO_pin<25>
    xps_gpio_2_GPIO_IO_pin<18>
    xps_gpio_2_GPIO_IO_pin<26>
    xps_gpio_2_GPIO_IO_pin<19>
    xps_gpio_2_GPIO_IO_pin<27>
    xps_gpio_2_GPIO_IO_pin<28>
    xps_gpio_2_GPIO_IO_pin<29>
GPIO_IO_pin => xps_gpio
ERROR:Place:866 - Not enough valid sites to place the following IOBs:
   IO Standard: Name = LVCMOS25, VREF = NR, VCCO = 2.50, TERM = NONE, DIR = BIDIR, DRIVE_STR = 12
    xps_gpio_0_GPIO_IO_pin<0>
    xps_gpio_0_GPIO_IO_pin<1>
    xps_gpio_0_GPIO_IO_pin<2>
    xps_gpio_0_GPIO_IO_pin<3>
    xps_gpio_0_GPIO_IO_pin<4>
    xps_gpio_0_GPIO_IO_pin<5>
    xps_gpio_0_GPIO_IO_pin<6>
    xps_gpio_0_GPIO_IO_pin<7>
    xps_gpio_0_GPIO_IO_pin<8>
    xps_gpio_0_GPIO_IO_pin<9>
    xps_gpio_1_GPIO_IO_pin<0>
    xps_gpio_1_GPIO_IO_pin<1>
    xps_gpio_1_GPIO_IO_pin<2>
    xps_gpio_1_GPIO_IO_pin<3>
    xps_gpio_1_GPIO_IO_pin<4>
    xps_gpio_1_GPIO_IO_pin<5>
    xps_gpio_1_GPIO_IO_pin<6>
    xps_gpio_1_GPIO_IO_pin<7>
    xps_gpio_1_GPIO_IO_pin<8>
    xps_gpio_1_GPIO_IO_pin<9>
    xps_gpio_1_GPIO_IO_pin<10>
    xps_gpio_1_GPIO_IO_pin<11>
    xps_gpio_1_GPIO_IO_pin<12>
    xps_gpio_1_GPIO_IO_pin<20>
    xps_gpio_1_GPIO_IO_pin<13>
    xps_gpio_1_GPIO_IO_pin<21>
    xps_gpio_1_GPIO_IO_pin<14>
    xps_gpio_1_GPIO_IO_pin<22>
    xps_gpio_1_GPIO_IO_pin<30>
    xps_gpio_1_GPIO_IO_pin<15>
    xps_gpio_1_GPIO_IO_pin<23>
    xps_gpio_1_GPIO_IO_pin<31>
    xps_gpio_1_GPIO_IO_pin<16>
    xps_gpio_1_GPIO_IO_pin<24>
    xps_gpio_1_GPIO_IO_pin<17>
    xps_gpio_1_GPIO_IO_pin<25>
    xps_gpio_1_GPIO_IO_pin<18>
    xps_gpio_1_GPIO_IO_pin<26>
    xps_gpio_1_GPIO_IO_pin<19>
    xps_gpio_1_GPIO_IO_pin<27>
    xps_gpio_1_GPIO_IO_pin<28>
    xps_gpio_1_GPIO_IO_pin<29>
    xps_gpio_3_GPIO_IO_pin<10>
    xps_gpio_3_GPIO_IO_pin<11>
    xps_gpio_3_GPIO_IO_pin<12>
    xps_gpio_3_GPIO_IO_pin<20>
    xps_gpio_3_GPIO_IO_pin<13>
    xps_gpio_3_GPIO_IO_pin<21>
    xps_gpio_3_GPIO_IO_pin<14>
    xps_gpio_3_GPIO_IO_pin<22>
    xps_gpio_3_GPIO_IO_pin<30>
    xps_gpio_3_GPIO_IO_pin<15>
    xps_gpio_3_GPIO_IO_pin<23>
    xps_gpio_3_GPIO_IO_pin<31>
    xps_gpio_3_GPIO_IO_pin<16>
    xps_gpio_3_GPIO_IO_pin<24>
    xps_gpio_3_GPIO_IO_pin<17>
    xps_gpio_3_GPIO_IO_pin<25>
    xps_gpio_3_GPIO_IO_pin<18>
    xps_gpio_3_GPIO_IO_pin<26>
    xps_gpio_3_GPIO_IO_pin<19>
    xps_gpio_3_GPIO_IO_pin<27>
    xps_gpio_3_GPIO_IO_pin<28>
    xps_gpio_3_GPIO_IO_pin<29>
    xps_gpio_2_GPIO_IO_pin<0>
    xps_gpio_2_GPIO_IO_pin<1>
    xps_gpio_2_GPIO_IO_pin<2>
    xps_gpio_2_GPIO_IO_pin<3>
    xps_gpio_2_GPIO_IO_pin<4>
    xps_gpio_2_GPIO_IO_pin<5>
    xps_gpio_2_GPIO_IO_pin<6>
    xps_gpio_2_GPIO_IO_pin<7>
    xps_gpio_2_GPIO_IO_pin<8>
    xps_gpio_2_GPIO_IO_pin<9>
    xps_gpio_0_GPIO_IO_pin<10>
    xps_gpio_0_GPIO_IO_pin<11>
    xps_gpio_0_GPIO_IO_pin<12>
    xps_gpio_0_GPIO_IO_pin<20>
    xps_gpio_0_GPIO_IO_pin<13>
    xps_gpio_0_GPIO_IO_pin<21>
    xps_gpio_0_GPIO_IO_pin<14>
    xps_gpio_0_GPIO_IO_pin<22>
    xps_gpio_0_GPIO_IO_pin<30>
    xps_gpio_0_GPIO_IO_pin<15>
    xps_gpio_0_GPIO_IO_pin<23>
    xps_gpio_0_GPIO_IO_pin<31>
    xps_gpio_0_GPIO_IO_pin<16>
    xps_gpio_0_GPIO_IO_pin<24>
    xps_gpio_0_GPIO_IO_pin<17>
    xps_gpio_0_GPIO_IO_pin<25>
    xps_gpio_0_GPIO_IO_pin<18>
    xps_gpio_0_GPIO_IO_pin<26>
    xps_gpio_0_GPIO_IO_pin<19>
    xps_gpio_0_GPIO_IO_pin<27>
    xps_gpio_0_GPIO_IO_pin<28>
    xps_gpio_0_GPIO_IO_pin<29>
    xps_gpio_3_GPIO_IO_pin<0>
    xps_gpio_3_GPIO_IO_pin<1>
    xps_gpio_3_GPIO_IO_pin<2>
    xps_gpio_3_GPIO_IO_pin<3>
    xps_gpio_3_GPIO_IO_pin<4>
    xps_gpio_3_GPIO_IO_pin<5>
    xps_gpio_3_GPIO_IO_pin<6>
    xps_gpio_3_GPIO_IO_pin<7>
    xps_gpio_3_GPIO_IO_pin<8>
    xps_gpio_3_GPIO_IO_pin<9>
    xps_gpio_2_GPIO_IO_pin<10>
    xps_gpio_2_GPIO_IO_pin<11>
    xps_gpio_2_GPIO_IO_pin<12>
    xps_gpio_2_GPIO_IO_pin<20>
    xps_gpio_2_GPIO_IO_pin<13>
    xps_gpio_2_GPIO_IO_pin<21>
    xps_gpio_2_GPIO_IO_pin<14>
    xps_gpio_2_GPIO_IO_pin<22>
    xps_gpio_2_GPIO_IO_pin<30>
    xps_gpio_2_GPIO_IO_pin<15>
    xps_gpio_2_GPIO_IO_pin<23>
    xps_gpio_2_GPIO_IO_pin<31>
    xps_gpio_2_GPIO_IO_pin<16>
    xps_gpio_2_GPIO_IO_pin<24>
    xps_gpio_2_GPIO_IO_pin<17>
    xps_gpio_2_GPIO_IO_pin<25>
    xps_gpio_2_GPIO_IO_pin<18>
    xps_gpio_2_GPIO_IO_pin<26>
    xps_gpio_2_GPIO_IO_pin<19>
    xps_gpio_2_GPIO_IO_pin<27>
    xps_gpio_2_GPIO_IO_pin<28>
    xps_gpio_2_GPIO_IO_pin<29>
GPIO_IO,--connected to a signal not any external pin xps_gpio_3_GPIO_IO_pin => xps_gpio_3_GPIO_IO--connected to a signal not any external pin ); end architecture STRUCTURE;

Сообщение об ошибке :

ERROR:Place:866 - Not enough valid sites to place the following IOBs:
   IO Standard: Name = LVCMOS25, VREF = NR, VCCO = 2.50, TERM = NONE, DIR = BIDIR, DRIVE_STR = 12
    xps_gpio_0_GPIO_IO_pin<0>
    xps_gpio_0_GPIO_IO_pin<1>
    xps_gpio_0_GPIO_IO_pin<2>
    xps_gpio_0_GPIO_IO_pin<3>
    xps_gpio_0_GPIO_IO_pin<4>
    xps_gpio_0_GPIO_IO_pin<5>
    xps_gpio_0_GPIO_IO_pin<6>
    xps_gpio_0_GPIO_IO_pin<7>
    xps_gpio_0_GPIO_IO_pin<8>
    xps_gpio_0_GPIO_IO_pin<9>
    xps_gpio_1_GPIO_IO_pin<0>
    xps_gpio_1_GPIO_IO_pin<1>
    xps_gpio_1_GPIO_IO_pin<2>
    xps_gpio_1_GPIO_IO_pin<3>
    xps_gpio_1_GPIO_IO_pin<4>
    xps_gpio_1_GPIO_IO_pin<5>
    xps_gpio_1_GPIO_IO_pin<6>
    xps_gpio_1_GPIO_IO_pin<7>
    xps_gpio_1_GPIO_IO_pin<8>
    xps_gpio_1_GPIO_IO_pin<9>
    xps_gpio_1_GPIO_IO_pin<10>
    xps_gpio_1_GPIO_IO_pin<11>
    xps_gpio_1_GPIO_IO_pin<12>
    xps_gpio_1_GPIO_IO_pin<20>
    xps_gpio_1_GPIO_IO_pin<13>
    xps_gpio_1_GPIO_IO_pin<21>
    xps_gpio_1_GPIO_IO_pin<14>
    xps_gpio_1_GPIO_IO_pin<22>
    xps_gpio_1_GPIO_IO_pin<30>
    xps_gpio_1_GPIO_IO_pin<15>
    xps_gpio_1_GPIO_IO_pin<23>
    xps_gpio_1_GPIO_IO_pin<31>
    xps_gpio_1_GPIO_IO_pin<16>
    xps_gpio_1_GPIO_IO_pin<24>
    xps_gpio_1_GPIO_IO_pin<17>
    xps_gpio_1_GPIO_IO_pin<25>
    xps_gpio_1_GPIO_IO_pin<18>
    xps_gpio_1_GPIO_IO_pin<26>
    xps_gpio_1_GPIO_IO_pin<19>
    xps_gpio_1_GPIO_IO_pin<27>
    xps_gpio_1_GPIO_IO_pin<28>
    xps_gpio_1_GPIO_IO_pin<29>
    xps_gpio_3_GPIO_IO_pin<10>
    xps_gpio_3_GPIO_IO_pin<11>
    xps_gpio_3_GPIO_IO_pin<12>
    xps_gpio_3_GPIO_IO_pin<20>
    xps_gpio_3_GPIO_IO_pin<13>
    xps_gpio_3_GPIO_IO_pin<21>
    xps_gpio_3_GPIO_IO_pin<14>
    xps_gpio_3_GPIO_IO_pin<22>
    xps_gpio_3_GPIO_IO_pin<30>
    xps_gpio_3_GPIO_IO_pin<15>
    xps_gpio_3_GPIO_IO_pin<23>
    xps_gpio_3_GPIO_IO_pin<31>
    xps_gpio_3_GPIO_IO_pin<16>
    xps_gpio_3_GPIO_IO_pin<24>
    xps_gpio_3_GPIO_IO_pin<17>
    xps_gpio_3_GPIO_IO_pin<25>
    xps_gpio_3_GPIO_IO_pin<18>
    xps_gpio_3_GPIO_IO_pin<26>
    xps_gpio_3_GPIO_IO_pin<19>
    xps_gpio_3_GPIO_IO_pin<27>
    xps_gpio_3_GPIO_IO_pin<28>
    xps_gpio_3_GPIO_IO_pin<29>
    xps_gpio_2_GPIO_IO_pin<0>
    xps_gpio_2_GPIO_IO_pin<1>
    xps_gpio_2_GPIO_IO_pin<2>
    xps_gpio_2_GPIO_IO_pin<3>
    xps_gpio_2_GPIO_IO_pin<4>
    xps_gpio_2_GPIO_IO_pin<5>
    xps_gpio_2_GPIO_IO_pin<6>
    xps_gpio_2_GPIO_IO_pin<7>
    xps_gpio_2_GPIO_IO_pin<8>
    xps_gpio_2_GPIO_IO_pin<9>
    xps_gpio_0_GPIO_IO_pin<10>
    xps_gpio_0_GPIO_IO_pin<11>
    xps_gpio_0_GPIO_IO_pin<12>
    xps_gpio_0_GPIO_IO_pin<20>
    xps_gpio_0_GPIO_IO_pin<13>
    xps_gpio_0_GPIO_IO_pin<21>
    xps_gpio_0_GPIO_IO_pin<14>
    xps_gpio_0_GPIO_IO_pin<22>
    xps_gpio_0_GPIO_IO_pin<30>
    xps_gpio_0_GPIO_IO_pin<15>
    xps_gpio_0_GPIO_IO_pin<23>
    xps_gpio_0_GPIO_IO_pin<31>
    xps_gpio_0_GPIO_IO_pin<16>
    xps_gpio_0_GPIO_IO_pin<24>
    xps_gpio_0_GPIO_IO_pin<17>
    xps_gpio_0_GPIO_IO_pin<25>
    xps_gpio_0_GPIO_IO_pin<18>
    xps_gpio_0_GPIO_IO_pin<26>
    xps_gpio_0_GPIO_IO_pin<19>
    xps_gpio_0_GPIO_IO_pin<27>
    xps_gpio_0_GPIO_IO_pin<28>
    xps_gpio_0_GPIO_IO_pin<29>
    xps_gpio_3_GPIO_IO_pin<0>
    xps_gpio_3_GPIO_IO_pin<1>
    xps_gpio_3_GPIO_IO_pin<2>
    xps_gpio_3_GPIO_IO_pin<3>
    xps_gpio_3_GPIO_IO_pin<4>
    xps_gpio_3_GPIO_IO_pin<5>
    xps_gpio_3_GPIO_IO_pin<6>
    xps_gpio_3_GPIO_IO_pin<7>
    xps_gpio_3_GPIO_IO_pin<8>
    xps_gpio_3_GPIO_IO_pin<9>
    xps_gpio_2_GPIO_IO_pin<10>
    xps_gpio_2_GPIO_IO_pin<11>
    xps_gpio_2_GPIO_IO_pin<12>
    xps_gpio_2_GPIO_IO_pin<20>
    xps_gpio_2_GPIO_IO_pin<13>
    xps_gpio_2_GPIO_IO_pin<21>
    xps_gpio_2_GPIO_IO_pin<14>
    xps_gpio_2_GPIO_IO_pin<22>
    xps_gpio_2_GPIO_IO_pin<30>
    xps_gpio_2_GPIO_IO_pin<15>
    xps_gpio_2_GPIO_IO_pin<23>
    xps_gpio_2_GPIO_IO_pin<31>
    xps_gpio_2_GPIO_IO_pin<16>
    xps_gpio_2_GPIO_IO_pin<24>
    xps_gpio_2_GPIO_IO_pin<17>
    xps_gpio_2_GPIO_IO_pin<25>
    xps_gpio_2_GPIO_IO_pin<18>
    xps_gpio_2_GPIO_IO_pin<26>
    xps_gpio_2_GPIO_IO_pin<19>
    xps_gpio_2_GPIO_IO_pin<27>
    xps_gpio_2_GPIO_IO_pin<28>
    xps_gpio_2_GPIO_IO_pin<29>

person Omar shaaban    schedule 02.04.2013    source источник
comment
Интересно, у меня такая же проблема с последней версией Vivado, она добавляет IO для всех моих внутренних сигналов. Связаны ли эти сигналы с чем-либо на верхнем уровне? Если нет, то попробуйте связать их с какой-нибудь фиктивной логикой. Кажется, это ошибка в Xilinx 2012.4, которую они не хотят признавать. У меня есть 2 открытых дела с ними по аналогичной проблеме.   -  person FarhadA    schedule 03.04.2013
comment
подключение их к какой-то фиктивной логике действительно помогло, тогда еще одна ошибка, спасибо, Фархада :) вы были действительно полезны, и я надеюсь, что мы сможем поддерживать связь   -  person Omar shaaban    schedule 03.04.2013


Ответы (1)


это комментарий, потому что мне еще не разрешено комментировать :/

Я не уверен, является ли ошибка сбоем. Я бы скорее сказал, что не печатать сообщение об ошибке до того, как произойдет сбой. Если вы обрабатываете некоторые входные данные, вы всегда должны знать о ярлыках. Попробуйте установить их как open, чтобы они вообще не были подключены к вашей FPGA.

person hr0m    schedule 19.12.2013