Как сделать 4-битный кольцевой счетчик с 4 триггерами?

У меня есть этот 4-битный кольцевой счетчик, который я пытаюсь сделать, и я чувствую, что я так близок, но я не могу понять, как сделать так, чтобы один ввод зависел от вывода предыдущего состояния. Вот что у меня есть:

`default_nettype none
// Empty top module

module top (
  // I/O ports
  input  logic hz100, reset,
  input  logic [20:0] pb,
  output logic [7:0] left, right
);

  // Your code goes here...
  q[3:0];
  
  assign q[3:0] = right[3:0];
  
  hc74_set setFF(.c(pb[0]), .d(pb[1]), .q(right[0]), .sn(pb[16]));
  hc74_reset resetFF1(.c(pb[0]), .d(pb[1]), .q0(right[1]), .rn(pb[16]));
  hc74_reset resetFF2(.c(pb[0]), .d(pb[1]), .q1(right[2]), .rn(pb[16]));
  hc74_reset resetFF3(.c(pb[0]), .d(pb[1]), .q2(right[3]), .rn(pb[16]));
  
  
endmodule

// Add more modules down here...
// This is a single D flip-flop with an active-low asynchronous set (preset).
// It has no asynchronous reset because the simulator does not allow it.
// Other than the lack of a reset, it is half of a 74HC74 chip.
module hc74_set(input logic d, c, sn,
                  output logic q, qn);
  assign qn = ~q;
  always_ff @(posedge c, negedge sn)
    if (sn == 1'b0)
      q <= 1'b1;
    else
      q <= d;
endmodule

// This is a single D flip-flop with an active-low asynchronous reset (clear).
// It has no asynchronous set because the simulator does not allow it.
// Other than the lack of a set, it is half of a 74HC74 chip.

module hc74_reset(input logic d, c, rn,
                  output logic q, qn);
  assign qn = ~q;
  always_ff @(posedge c, negedge rn)
    if (rn == 1'b0)
      q <= 1'b0;
    else
      q <= d;
endmodule

Это на симуляторе FPGA, поэтому есть несколько вещей, таких как pb (это кнопки) и левый, правый выходы, которые представляют собой наборы из 8 светодиодов каждый.


person ItsBigBrainTime    schedule 10.03.2021    source источник


Ответы (1)


Давайте сначала убедимся, что мы на одной странице

Основано на описании в Википедии счетчика звонков.

Это может быть реализовано следующим образом:

module top (
  // I/O ports
  input  logic reset_n,
  input  logic clk,
  output logic [3:0] ring
);

  // Your code goes here...
  always @(posedge clk or negedge reset_n) begin
    if(~reset_n) begin
      ring = 4'b0001;
    end
    else begin
      ring[0] <= ring[3];
      ring[1] <= ring[0];
      ring[2] <= ring[1];
      ring[3] <= ring[2];
    end
  end
endmodule

Выходное кольцо представляет собой 4-битный один горячий вектор, reset_n = 0 делает ring = 0001 каждые часы с reset_n = 1, сворачивающим кольцо вправо, [0001, 0010, 0100, 1000, 0001, ...].

Но вы хотите использовать экземпляры флопов, которые вы определили. Обратите внимание, что в задании a <= b a является выходом флопа (порт q), а b — входом флопа (порт d).

module top (
  // I/O ports
  input  logic reset_n,
  input  logic clk,
  output logic [3:0] ring
);

  // Your code goes here...
  
  hc74_set setFF(.c(clk), .d(ring[3]), .q(ring[0]), .sn(reset_n));
  hc74_reset resetFF1(.c(clk), .d(ring[0]), .q0(ring[1]), .rn(reset_n));
  hc74_reset resetFF2(.c(clk), .d(ring[1]), .q1(ring[2]), .rn(reset_n));
  hc74_reset resetFF3(.c(clk), .d(ring[2]), .q2(ring[3]), .rn(reset_n));  
endmodule

Вы должны соответствующим образом подключить порты, я просто использовал clk для часов и reset_n для инвертированного сигнала сброса.

person Bob    schedule 10.03.2021