в чем основное различие между режимом проекта и режимом без проекта в vivado?

И когда синтез завершен, я получаю много файлов, таких как .fw, .mcs, .prm вместе с файлом .bit, и можем ли мы сбросить эти другие файлы, кроме файла .bit, в FPGA? Какой из них более выгоден: проектный или непроектный? Кодирование выполняется в Verilog.


person vlsi_user    schedule 27.10.2016    source источник


Ответы (1)


Лучшее объяснение, вероятно, можно найти в документе Xilinx UG892, который можно загрузить с их веб-сайта. В главе 2 на странице 18 приведены подробности:

http://www.xilinx.com/support/documentation/sw_manuals/xilinx2016_2/ug892-vivado-design-flows-overview.pdf

По сути, в режиме проекта вы добавляете файлы, которые копируются в новую структуру каталогов, устанавливаете параметры и настраиваете запуски синтеза/размещения и маршрутизации, которые вам нужны, а затем Vivado управляет выполнением актуальных команд. Это скорее процесс нажатия кнопки в среде IDE, но его также можно написать в сценарии (опять же, все настройки находятся во внешнем интерфейсе, а затем вы, по сути, говорите «начать», и он решает, что ему нужно делать).

Во внепроектном потоке вы получаете полный контроль над процессом компиляции (без копирования файлов, они просто используются из указанного вами места) и процессом синтеза, а также управляете всеми настройками и командами, которые запускаются самостоятельно. Для написания скриптов требуется знание TCL, но в документации есть примеры потоков.

Мы используем непроектный поток, поскольку он не использует двоичные файлы проекта и полностью написан из TCL и Makefiles (что не является неотъемлемой частью работы Vivado, но делает нашу жизнь намного проще). Хотя его немного сложнее настроить, он дает вам больше контроля, позволяет вам проверять дизайн в любой момент, и его легче поместить в систему контроля версий, чтобы вы могли отслеживать изменения в ограничениях и параметрах сборки.

person sv65536    schedule 27.10.2016