system verilog - uvm - последовательно ждать pkt

Я запускаю system-verilog в uvm. Я хочу отправить запрос в своей последовательности и дождаться ответа pkt. как мне это сделать?

Спасибо за любую помощь.


person adir    schedule 25.12.2013    source источник


Ответы (2)


Последовательность отправляет запрос секвенсору, когда вы создаете и рандомизируете транзакцию. Затем секвенсор отправляет транзакцию драйверу, а драйвер отправляет ответ обратно, используя метод put_response (rsp). В своей последовательности вы можете использовать метод get_response (rsp), который является блокирующим вызовом, и ждать, пока драйвер не отправит ответ. Это хорошо объяснено здесь

person sundar    schedule 26.12.2013

Это так называемая подчиненная последовательность. Сначала вы отправляете фиктивный запрос из последовательности, а затем (обычно от драйвера) вы отправляете ответ обратно в последовательность, которая по существу меняет значение запроса / ответа. Пример можно найти здесь.

person dave_59    schedule 25.12.2013